TAKESAKO @ Yet another Cybozu Labs: /. HappyBinary Day ! /.

スラッシュドットJPによると、本日11月11日はバイナリデーだそうです。 バイナリデーを祝うべく、/.Jにもちょっとしたイースターエッグを仕込んでおきました。 /.Jのとある場所に、日本のバイナリ/ハッカー界の著名人の方々から頂戴した祝賀 コメントを掲載しています。/.J読者皆様、ぜひお探しの上一緒にバイナリデーを お祝いいただけるとうれしいです。 とのことで、小生......続きはこちら